Skip to main content
Stack Overflow
  1. About
  2. For Teams
Filter by
Sorted by
Tagged with
0 votes
2 answers
100 views

Getting a runtime error_0067 Value -1 out of range (0 to 7). Buf_ptr is set as a natural number but still goes negative because it is not overflowing back to 7. This can easily be fixed with an if ...
1 vote
1 answer
256 views

I am trying to design a 17-bit ripple up counter using a positive edge triggered d flip flop in my design. Here is my code: `timescale 1us/ 1ns module upcounter (clk, pr, clr, out); input wire ...
1 vote
1 answer
129 views

I have to do Verilog coding in Active HDL 12, but I do not know why the three modules do not connect to each other in the top module. top module `timescale 1 ns / 1 ps module Main (Mx1,Mx2,Mx3,Mx4,My);...
0 votes
0 answers
703 views

here code some warning but no errors found but test bench of this fulladder output waveform sum and carry not showing. there shown u in the carry and sum output, but not inputs are fine only sum and ...
0 votes
1 answer
2k views

So I am trying to write the VHDL for a 32-bit ALU on EDA PLayground, but I get some error messages that I don't quite get, and I don't know how to fix them, can someone please help? I cannot ...
xxs899's user avatar
  • 5
1 vote
1 answer
7k views

I tried to run some code from Stack Overflow (How to write an integer to stdout as hexadecimal in VHDL?) and it turned out that to_hstring doesn't work (Even though std library is standard for VHDL). ...
0 votes
1 answer
573 views

First of all, I am new to VHDL and I was trying to create a RAM model (or something similar). The model works fine and I started building my test bench, but it does not reproduce the behavior of the ...
0 votes
1 answer
177 views

I am doing some EDA tools test with cocotb as a testbench. I am running simulations with icarus and verilator without problem, however, with Aldec I have got a problem at "runsim.tcl". The output of ...
M.X's user avatar
  • 195
0 votes
1 answer
2k views

Im working on a project and after chasing down a bug i narrowed it down to it being caused by an Always block that doesnt trigger correctly. module Counter(start,clk_len,done,clk,reset); ...
TomatoLV's user avatar
1 vote
1 answer
250 views

In a simple module I have defined a 4-bit array register, and I use it to assign a value to a 4-bit array output. The output acts like a 1-bit wire even if it has been defined as a 4-bit array. `...
1 vote
1 answer
1k views

edit: I just reinstalled lattice diamond and the updates, Active-hdl was installed automatically, but the simulation still gives me the same error. when i change library machXO3; use machXO3.all; to ...
0 votes
1 answer
535 views

I'm writing 4 bits full adder with verilog in Active-hdl I think my code and test-bench are right but the value of sum and cout are always z in waveform can anybody help me what the problem is.this my ...
3 votes
0 answers
614 views

I have an entity which has this TEMPERATURE: in STD_LOGIC_VECTOR(7 downto 0); as one of the inputs and I need a signal that has the initial value TEMPERATURE. In the entity architecture I've declared ...
user avatar
0 votes
0 answers
184 views

I have to make a PS2 Keyboard Controller project at the university and i encountered a few problems when trying to test the system on the FPGA board. It had worked perfectly in the simulator of ...
-1 votes
1 answer
771 views

Supposing i have on my board a 100Mhz clock and the following clock divider: entity div is port(clk:in std_logic; clk_out:out std_logic); architecture ar of div is begin process(clk) variable ...

15 30 50 per page
1
2 3

AltStyle によって変換されたページ (->オリジナル) /